Repository logo
 

Research data supporting "On Microarchitectural Mechanisms for Cache Wearout Reduction"


No Thumbnail Available

Type

Dataset

Change log

Authors

Valero, Alejandro 
Miralaei, Negar 
Petit, Salvador 
Sahuquillo, Julio 

Description

Simulator code, input files and example command lines to evaluate techniques for reducing ageing in processor caches.

Version

Software / Usage instructions

Tar

Keywords

data cache, BTI, HCI, ageing

Publisher

University of Cambridge
Sponsorship
EPSRC [EP/K026399/1, EP/J016284/1], HiPEAC [EU FP7-ICT: 287759], Spanish Ministerio de Economía y Competitividad (MINECO) [TIN2015-66972-C5-1-R]