Repository logo
 

Research data supporting "Enhancing the L1 Data Cache Design to Mitigate HCI"


No Thumbnail Available

Type

Dataset

Change log

Authors

Valero, Alejandro 
Miralaei, Negar 
Petit, Salvador 
Sahuquillo, Julio 

Description

Simulator code, input files and example command lines to evaluate two techniques for reducing HCI ageing in processor caches. This dataset supports the article published by IEEE at http://dx.doi.org/10.1109/LCA.2015.2460736. A version of this article is stored on the repository at https://www.repository.cam.ac.uk/handle/1810/249106 .

Version

Software / Usage instructions

Text

Keywords

Data Cache, HCI, Ageing

Publisher

University of Cambridge
Sponsorship
EPSRC Other
Relationships
Supplements: